НКСим
Разработчик(и) | Системы проектирования Cadence |
---|---|
Операционная система | Линукс |
Тип | Симулятор |
Лицензия | собственный |
Веб-сайт | Функциональная проверка каденса |
Тема этой статьи Википедии может не соответствовать общему правилу по известности . ( март 2024 г. ) |
Incisive — это набор инструментов от Cadence Design Systems, предназначенных для проектирования и проверки ASIC , SoC и FPGA . Incisive обычно называют NCSim в отношении основного механизма моделирования. В конце 1990-х этот набор инструментов был известен как ldv (логическое проектирование и проверка) .
В зависимости от требований к дизайну Incisive предлагает множество различных вариантов комплектации следующих инструментов:
Инструмент | команда | описание |
---|---|---|
НК Верилог | нквлог | Компилятор для Verilog 95, Verilog 2001, SystemVerilog и Verilog-AMS. |
NC VHDL | ncvhdl | Компилятор VHDL 87, VHDL 93 |
Система ЧПУC | NCSC | Компилятор для SystemC |
Разработчик ЧПУ | пожалуйста | Унифицированный компоновщик/разработчик библиотек Verilog, VHDL и SystemC. Создает объектный файл моделирования, называемый снимком изображения . |
НК Сим | ncsim | Унифицированный механизм моделирования для Verilog, VHDL и SystemC. Загружает снимки снимков, созданные NC Elaborator. Этот инструмент можно запустить в режиме графического интерфейса или в пакетном режиме командной строки. В режиме графического интерфейса ncsim аналогичен функциям отладки . vsim ModelSim |
Волосы | волосы | Исполняемый файл для одношагового вызова. Вызывает ncvlog/ncvhdl/ncsc автоматически в зависимости от заданных файлов и их расширений. После этого выполняются ncelab и ncsim. |
Сим Видение | симвидение | Автономный графический просмотрщик сигналов и трассировщик списка соединений. Это очень похоже на Novas Software Дебюсси от . |