Jump to content

НКСим

острый
Разработчик(и) Системы проектирования Cadence
Операционная система Линукс
Тип Симулятор
Лицензия собственный
Веб-сайт Функциональная проверка каденса

Incisive — это набор инструментов от Cadence Design Systems, предназначенных для проектирования и проверки ASIC , SoC и FPGA . Incisive обычно называют NCSim в отношении основного механизма моделирования. В конце 1990-х этот набор инструментов был известен как ldv (логическое проектирование и проверка) .

В зависимости от требований к дизайну Incisive предлагает множество различных вариантов комплектации следующих инструментов:

Инструмент команда описание
НК Верилог нквлог Компилятор для Verilog 95, Verilog 2001, SystemVerilog и Verilog-AMS.
NC VHDL ncvhdl Компилятор VHDL 87, VHDL 93
Система ЧПУC NCSC Компилятор для SystemC
Разработчик ЧПУ пожалуйста Унифицированный компоновщик/разработчик библиотек Verilog, VHDL и SystemC. Создает объектный файл моделирования, называемый снимком изображения .
НК Сим ncsim Унифицированный механизм моделирования для Verilog, VHDL и SystemC. Загружает снимки снимков, созданные NC Elaborator. Этот инструмент можно запустить в режиме графического интерфейса или в пакетном режиме командной строки. В режиме графического интерфейса ncsim аналогичен функциям отладки . vsim ModelSim
Волосы волосы Исполняемый файл для одношагового вызова. Вызывает ncvlog/ncvhdl/ncsc автоматически в зависимости от заданных файлов и их расширений. После этого выполняются ncelab и ncsim.
Сим Видение симвидение Автономный графический просмотрщик сигналов и трассировщик списка соединений. Это очень похоже на Novas Software Дебюсси от .

См. также

[ редактировать ]
Arc.Ask3.Ru: конец переведенного документа.
Arc.Ask3.Ru
Номер скриншота №: db20216c4f0c73e3b1def8950913af41__1710762120
URL1:https://arc.ask3.ru/arc/aa/db/41/db20216c4f0c73e3b1def8950913af41.html
Заголовок, (Title) документа по адресу, URL1:
NCSim - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть. Любые претензии, иски не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, вы не можете использовать данный сайт и информация размещенную на нем (сайте/странице), немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, Денежную единицу (имеющую самостоятельную стоимость) можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)