Jump to content

Силос (библиотека)

Силос
Расширение имени файла
.силос
Разработано Ливерморская национальная лаборатория Лоуренса
Последний выпуск
4.11.1
13 сентября 2023 г.
Тип формата формат научных данных
Веб-сайт ВЦИ .llnl .gov /моделирование /компьютерные коды /силос

Silo — это компьютерный формат данных и библиотека, разработанные в Ливерморской национальной лаборатории Лоуренса (LLNL) для хранения прямолинейных , криволинейных, неструктурированных или точечных сеток в 2D и 3D. Он поддерживает данные об этих сетках, включая скалярные, векторные и тензорные переменные; материалы на основе объемных фракций; и виды на основе массовой доли. Он полностью поддерживает блочно-структурированные сетки адаптивного уточнения сетки (AMR) посредством блоков сетки, структурированных в иерархии. Silo располагается поверх других библиотек хранения низкого уровня, таких как PDB, NetCDF и HDF5 .

В настоящее время VisIt , пакет программного обеспечения с открытым исходным кодом , созданный в LLNL, поддерживает формат Silo для визуализации и анализа, среди многих других форматов. Начиная с версии 4.8, июль 2010 г., исходный код Silo теперь доступен.под стандартной лицензией BSD с открытым исходным кодом. Исходный код двух библиотек сжатия, которые были частьюпредыдущие выпуски библиотеки Silo недоступны в разделеусловиях лицензии BSD Open Source. Это Hzip и FPzip.библиотеки сжатия. По этой причине используются две разные версии исходного кода Silo.сделал доступным.

Ссылки [ править ]


Arc.Ask3.Ru: конец переведенного документа.
Arc.Ask3.Ru
Номер скриншота №: 5b06ebb35b5cc26214aee3fbc9e2c389__1711789920
URL1:https://arc.ask3.ru/arc/aa/5b/89/5b06ebb35b5cc26214aee3fbc9e2c389.html
Заголовок, (Title) документа по адресу, URL1:
Silo (library) - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть. Любые претензии, иски не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, вы не можете использовать данный сайт и информация размещенную на нем (сайте/странице), немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, Денежную единицу (имеющую самостоятельную стоимость) можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)