Jump to content

5-нм процесс

В производстве полупроводников Международная дорожная карта для устройств и систем определяет процесс «5 нм» как MOSFET, технологический узел следующий за узлом «7 нм» . В 2020 году Samsung и TSMC начали серийное производство чипов «5 нм», производимых для таких компаний, как Apple , Marvell , Huawei и Qualcomm . [1] [2]

Термин «5 нм» не имеет никакого отношения к каким-либо реальным физическим характеристикам (таким как длина затвора, шаг металла или шаг затвора) транзисторов пять нанометров размером . Согласно прогнозам, содержащимся в обновленной версии Международной дорожной карты для устройств и систем на 2021 год , опубликованной Ассоциацией стандартов IEEE Industry Connection, «ожидается, что 5-нм узел будет иметь шаг контактного затвора 51 нанометр и самый малый шаг металла 30 нанометров». . [3] Однако в реальной коммерческой практике «5 нм» используется в первую очередь отдельными производителями микрочипов в качестве маркетингового термина для обозначения нового, улучшенного поколения кремниевых полупроводниковых чипов с точки зрения увеличенной плотности транзисторов (т.е. более высокой степени миниатюризации). увеличена скорость и снижено энергопотребление по сравнению с предыдущим 7-нм техпроцессом . [4] [5]

История [ править ]

Предыстория [ править ]

Эффектами квантового туннелирования через оксидный слой затвора на транзисторах «7 нм» и «5 нм» становилось все труднее управлять с помощью существующих полупроводниковых процессов. [6] Однотранзисторные устройства с техпроцессом менее 7 нм были впервые продемонстрированы исследователями в начале 2000-х годов. В 2002 году исследовательская группа IBM , в которую входили Брюс Дорис, Омер Докумачи, Мейкей Ионг и Анда Мокута, изготовила 6-нанометровый МОП-транзистор кремний-на-изоляторе (SOI). [7] [8]

В 2003 году японская исследовательская группа NEC под руководством Хитоши Вакабаяши и Сигэхару Ямагами изготовила первый 5-нм МОП-транзистор. [9] [10]

В 2015 году IMEC и Cadence изготовили тестовые чипы по техпроцессу 5 нм. Изготовленные тестовые чипы не были полностью функциональными устройствами, а скорее предназначены для оценки структуры слоев межсоединений . [11] [12]

В 2015 году Intel описала концепцию полевого транзистора с боковым нанопроволокой (или с круговым затвором) для узла «5 нм». [13]

В 2017 году IBM сообщила, что создала кремниевые чипы «5 нм». [14] использование кремниевых нанолистов в конфигурации с полным затвором (GAAFET), что является отличием от обычной конструкции FinFET . Используемые транзисторы GAAFET имели 3 нанолиста, сложенных друг на друга и полностью закрытых одним и тем же затвором, точно так же, как FinFET обычно имеют несколько физических ребер, расположенных рядом, которые электрически представляют собой единое целое и полностью закрыты одним и тем же затвором. . Чип IBM имел размер 50 мм. 2 и имел 600 миллионов транзисторов на мм. 2 , что в общей сложности составляет 30 миллиардов транзисторов (1667 нм). 2 на транзистор или фактическое расстояние между транзисторами 41 нм). [15] [16]

Коммерциализация [ править ]

В апреле 2019 года Samsung Electronics объявила, что с четвертого квартала 2018 года предлагает своим клиентам инструменты «5-нм» процесса (5LPE). [17] В апреле 2019 года TSMC объявила, что их «5-нм» процесс (CLN5FF, N5) начал рискованное производство и что полные спецификации конструкции чипов теперь доступны потенциальным клиентам. Процесс N5 может использовать EUVL на 14 уровнях по сравнению с 5 или 4 уровнями в N6 и N7++. [18] Для ожидаемого минимального шага металла 28 нм SALELE . лучшим методом нанесения рисунка является [19]

В рамках своего процесса «5 нм» компания Samsung начала устранение дефектов путем автоматической проверки и исправления, возникающих из-за возникновения стохастических (случайных) дефектов в металле и переходных слоях. [20]

Сообщается, что в октябре 2019 года TSMC начала отбор проб 5-нм процессоров A14 для Apple . [21] На конференции IEEE IEDM 2020 года компания TSMC сообщила, что их 5-нм техпроцесс имеет в 1,84 раза более высокую плотность, чем 7-нм техпроцесс. [22] На выставке IEDM 2019 TSMC представила две версии 5-нм: версию DUV с 5,5-дорожечной ячейкой и (официальную) версию EUV с 6-дорожечной ячейкой. [23] [24]

В декабре 2019 года TSMC объявила о среднем выходе примерно 80% при пиковом выходе на пластину более 90% для своих тестовых чипов «5 нм» с размером кристалла 17,92 мм. 2 . [25] В середине 2020 года TSMC заявила, что ее (N5) «5-нм» процесс предлагает в 1,8 раза большую плотность, чем ее «7-нм» процесс N7, с улучшением скорости на 15% или снижением энергопотребления на 30%; Утверждалось, что улучшенная подверсия (N5P или N4) улучшает N5 с скоростью + 5% или мощностью -10%. [26]

13 октября 2020 года Apple анонсировала новую линейку iPhone 12 с моделью A14 . Вместе с линейкой Huawei Mate 40 , использующей HiSilicon Kirin 9000 , A14 и Kirin 9000 были первыми устройствами, которые были коммерциализированы на узле TSMC «5 нм». Позже, 10 ноября 2020 года, Apple также представила три новые модели Mac, использующие Apple M1 , еще один 5-нм чип. По данным Semianaанализа, процессор A14 имеет плотность транзисторов 134 миллиона транзисторов на мм. 2 . [27]

В октябре 2021 года TSMC представила нового члена своего семейства техпроцессов «5 нм»: N4P. По сравнению с N5, узел продемонстрировал на 11% более высокую производительность (на 6% выше по сравнению с N4), более высокую энергоэффективность на 22%, более высокую плотность транзисторов на 6% и меньшее количество масок. TSMC ожидала, что первые кассеты появятся во второй половине 2022 года. [28] [29] [ нужно обновить ]

В декабре 2021 года TSMC анонсировала нового члена своего семейства «5-нм» процессов, предназначенного для приложений HPC: N4X. В ходе процесса были оптимизированы конструкция и структура транзисторов, уменьшено сопротивление и емкость целевых металлических слоев и установлены конденсаторы MiM высокой плотности. В то время ожидалось, что этот процесс [ нужно обновить ] предлагают до 15% более высокую производительность по сравнению с N5 (или до 4% по сравнению с N4P) при 1,2 В и напряжении питания более 1,2 В. В то время TSMC заявила, что ожидает [ нужно обновить ] N4X вступит в рисковое производство к первой половине 2023 года. [30] [31] [32]

В июне 2022 года Intel представила некоторые подробности о процессе Intel 4 (известном как «7 нм» до переименования в 2021 году): первом процессе компании, использующем EUV, плотность транзисторов в 2 раза выше по сравнению с Intel 7 (известным как «10 нм» ESF). (Enhanced Super Fin) до переименования), использование меди с кобальтовым покрытием для пяти тончайших слоев межсоединений, повышение производительности на 21,5 % при изо-мощности или на 40 % снижение энергопотребления при изо-частоте при 0,65 В по сравнению с Intel 7 и т. д. Впервые Intel продуктом, который должен был быть создан на Intel 4, был Meteor Lake, запущенный во втором квартале 2022 года и запланированный к поставке в 2023 году. [33] Intel 4 имеет шаг контактного затвора 50 нм, шаг ребра и минимального металла 30 нм, а высоту библиотеки 240 нм. Емкость металл-изолятор-металл была увеличена до 376 фФ/мкм², что примерно в 2 раза по сравнению с Intel 7. [34] Процесс был оптимизирован для приложений HPC и поддерживал напряжение от <0,65 В до >1,3 В. Оценка плотности транзисторов WikiChip для Intel 4 составляла 123,4 млн/мм², что в 2,04 раза выше 60,5 млн/мм² для Intel 7. Однако высокая плотность Ячейка SRAM масштабировалась всего на 0,77x (с 0,0312 до 0,024 мкм²), а высокопроизводительная ячейка — на 0,68x (с 0,0441 до 0,03 мкм²) по сравнению с Intel 7. [35] [ нужно обновить ]

27 сентября 2022 года AMD официально представила Ryzen 7000 серию центральных процессоров , основанных на техпроцессе TSMC N5 и микроархитектуре Zen 4 . [36] Zen 4 ознаменовал первое использование 5-нм техпроцесса для процессоров для настольных ПК на базе x86. В декабре 2022 года AMD также выпустила Radeon RX 7000 серию графических процессоров на базе RDNA 3 , в которых также использовался процесс TSMC N5. [37]

Узлы [ править ]

5 нм
Дорожная карта IRDS 2017 [38] Samsung [39] [40] [41] [42] [43] ТСМК [39]
Имя процесса 7 нм 5 нм 5ЛПЭ 5ЛПП N5 Н5П 4N [44]
Плотность транзисторов (МТР/мм 2 ) Un­known Un­known 126.9 [43] Un­known 138.2 [45] [46] Неизвестный
Размер битовой ячейки SRAM (мкм 2 ) 0.027 [47] 0.020 [47] 0.0262 [48] 0.021 [48] Неизвестный
Шаг затвора транзистора (нм) 48 42 57 51 Неизвестный
Шаг межсоединения (нм) 28 24 36 Un­known 28 [49] Неизвестный
Статус выпуска 2019 2021 2018 рисковое производство [17]
производство 2020 года
производство 2022 г. 2019 рисковое производство [18]
производство 2020 года
2020 рисковое производство
производство 2021 г.
производство 2022 г.

Технологические узлы 4 нм [ править ]

Samsung [39] [41] [42] [43] [50] ТСМК Интел [51] [33]
Имя процесса 4ЛПЭ
SF4E
4ЛПП
SF4
4ЛПП+
СФ4П
4HPC
SF4X
4ЛПА
SF4U
N4 Н4П N4X [30] [31] [32] N4C [52] 4 [53] [54]
Плотность транзисторов (МТР/мм 2 ) 137 [43] Un­known Un­known Un­known 143.7 [55] Un­known Un­known 123.4 [35]
Размер битовой ячейки SRAM (мкм 2 ) 0.0262 [48] Un­known Un­known Un­known Un­known Un­known Un­known 0.024 [48]
Шаг затвора транзистора (нм) 57 Un­known Un­known Un­known 51 Un­known Un­known 50
Шаг межсоединения (нм) 32 Un­known Un­known Un­known 28 Un­known Un­known 30
Статус выпуска 2020 рисковое производство
производство 2021 г.
производство 2022 г. производство 2023 г. производство 2024 г. производство 2025 г. 2021 рисковое производство
производство 2022 г.
2022 рисковое производство
производство 2022 г.
Производство риска к 1 полугодию 2023 г.
производство 2024 г.
производство 2025 г. 2022 рисковое производство [56]
производство 2023 г. [57]

Шаг затвора транзистора также называется CPP (контактный полишаг), а шаг межсоединения также называется MMP (минимальный металлический шаг). [58] [59]

За пределами 4 морских миль [ править ]

«3 нм» — это обычный термин для следующего узла после «5 нм». По состоянию на 2023 год TSMC у начала производство чипов для избранных клиентов, а Samsung и Intel есть планы на 2024 год. [51] [60] [61] [62]

«3,5 нм» также было названием первого узла после «5 нм». [63]

Ссылки [ править ]

  1. ^ Катресс, доктор Ян. « Лучше производительность на 5-нм техпроцессе, чем на 7-нм»: обновленная информация TSMC о проценте дефектов для N5» . АнандТех . Архивировано из оригинала 30 августа 2020 года . Проверено 28 августа 2020 г.
  2. ^ «Marvell и TSMC сотрудничают для создания портфеля инфраструктуры данных на основе 5-нм технологии» . HPCwire . Архивировано из оригинала 15 сентября 2020 года . Проверено 28 августа 2020 г.
  3. ^ Международная дорожная карта для устройств и систем: обновление на 2021 год: Подробнее Мур , IEEE, 2021, стр. 7, заархивировано из оригинала 7 августа 2022 года , получено 7 августа 2022 года.
  4. ^ «7-нм, 5-нм и 3-нм техпроцесса TSMC — это просто цифры… неважно, какое это число » . 10 сентября 2019 года. Архивировано из оригинала 17 июня 2020 года . Проверено 20 апреля 2020 г.
  5. ^ Сэмюэл К. Мур (21 июля 2020 г.). «Лучший способ измерения прогресса в области полупроводников: пришло время выбросить старую метрику закона Мура» . IEEE-спектр . IEEE. Архивировано из оригинала 2 декабря 2020 года . Проверено 20 апреля 2021 г.
  6. ^ «Квантовые эффекты на 7/5 нм и выше» . Полупроводниковая техника . Архивировано из оригинала 15 июля 2018 года . Проверено 15 июля 2018 г.
  7. ^ «IBM претендует на самый маленький кремниевый транзистор в мире — TheINQUIRER» . Theinquirer.net . 9 декабря 2002 года. Архивировано из оригинала 31 мая 2011 года . Проверено 7 декабря 2017 г.
  8. ^ Дорис, Брюс Б.; Докумачи, Омер Х.; Ёнг, Мейкей К.; Мокута, Анда; Чжан, Ин; Канарский, Томас С.; Рой, РА (декабрь 2002 г.). Экстремальное масштабирование с помощью ультратонких Si-канальных МОП-транзисторов . Дайджест. Международная встреча по электронным устройствам. стр. 267–270. дои : 10.1109/IEDM.2002.1175829 . ISBN  0-7803-7462-2 . S2CID   10151651 .
  9. ^ «NEC тестирует самый маленький транзистор в мире» . Thefreelibrary.com . Архивировано из оригинала 15 апреля 2017 года . Проверено 7 декабря 2017 г.
  10. ^ .; Очиаи, К.; Ямамото, Т.; Вакабаяси, Хитоши; Икезава, Нобуюки; Нарихиро, К -10-нм планарные объемные КМОП-устройства с управлением боковым переходом . Международная конференция IEEE по электронным устройствам, 2003. стр. 20.7.1–20.7.3. doi : 10.1109/ . IEDM.2003.1269446  0-7803-7872-5 . S2CID   2100267 .
  11. ^ «IMEC и Cadence представили 5-нм тестовый чип» . Семивики.com . 4 июля 2023 г. Проверено 4 июля 2023 г.
  12. ^ «Дорожная карта перехода на 5 нм: необходима конвергенция многих решений» . Полу.орг . Архивировано из оригинала 26 ноября 2015 года . Проверено 25 ноября 2015 г.
  13. ^ Марк ЛаПедус (20 января 2016 г.). «5-нм потрясающие вызовы» . Архивировано из оригинала 27 января 2016 года . Проверено 22 января 2016 г. Intel представила доклад, который вызвал искры и породил спекуляции относительно будущего направления передовой индустрии микросхем. Компания описала транзистор следующего поколения под названием Nanowire FET, который представляет собой полевой транзистор, повернутый набок, с обернутым вокруг него затвором. Утверждается, что нанопроволочный полевой транзистор Intel, иногда называемый полевым транзистором с полным затвором, соответствует требованиям к устройствам для 5-нм техпроцесса, определенным Международной технологической дорожной картой для полупроводников (ITRS).
  14. ^ Себастьян, Энтони (5 июня 2017 г.). «IBM представляет первый в мире 5-нм чип» . Арс Техника . Архивировано из оригинала 5 июня 2017 года . Проверено 5 июня 2017 г.
  15. ^ Хуэймин, Бу (5 июня 2017 г.). «5-нанометровые транзисторы постепенно внедряются в чипы» . ИБМ . Архивировано из оригинала 9 июня 2021 года . Проверено 9 июня 2021 г.
  16. ^ «IBM придумала, как производить 5-нм чипы» . UK.pcmag.com . 5 июня 2017 года. Архивировано из оригинала 3 декабря 2017 года . Проверено 7 декабря 2017 г.
  17. ^ Jump up to: Перейти обратно: а б Шилов, Антон. «Samsung завершает разработку 5-нм техпроцесса EUV» . АнандТех . Архивировано из оригинала 20 апреля 2019 года . Проверено 31 мая 2019 г.
  18. ^ Jump up to: Перейти обратно: а б «Партнеры по экосистеме TSMC и OIP предоставляют первую в отрасли комплексную инфраструктуру проектирования для 5-нм техпроцесса» (пресс-релиз). ТСМС. 3 апреля 2019 г.
  19. ^ «SALELE Double Patterning для узлов 7 и 5 нм» . ЛинкедИн . Архивировано из оригинала 20 сентября 2021 года . Проверено 25 марта 2021 г.
  20. ^ Джэхван Ким; Джин Ким; Бёнчул Шин; Санга Ли; Джэ-Хён Кан; Джун-Вон Чон; Пиюш Патхак; Жак Конделла; Фрэнк Э. Дженнари; Филипп Юрат; Я-Чье Лай (23 марта 2020 г.). Снижение риска выхода продукции, связанного с процессом, за счет замены шаблонов в проекте для системных микросхем, изготовленных на передовых технологических узлах . Учеб. SPIE 11328, Совместная оптимизация проектирования, процессов и технологий для обеспечения технологичности XIV, 113280I. Сан-Хосе, Калифорния, США. дои : 10.1117/12.2551970 .
  21. ^ Солца, Богдан (22 октября 2019 г.). «TSMC уже пробует 5-нм процессоры Apple A14 Bionic SoC для iPhone 2020 года» . Проверка ноутбука . Архивировано из оригинала 12 января 2020 года . Проверено 12 января 2020 г.
  22. ^ «Детали TSMC 5 нм» . 21 марта 2020 г.
  23. ^ Литография для конкретного применения: нанесение рисунка на 5-нанометровый металл с 5,5 дорожками от DUV
  24. ^ Г. Йип и др., IEDM 2019.
  25. ^ Катресс, доктор Ян. «Ранние тестовые чипы TSMC, изготовленные по 5-нанометровому техпроцессу, дают 80% производительности, HVM появится в первом полугодии 2020 года» . АнандТех . Архивировано из оригинала 25 мая 2020 года . Проверено 19 декабря 2019 г.
  26. ^ Грушка, Джоэл (25 августа 2020 г.). «TSMC прокладывает агрессивный курс в области 3-нм литографии и не только» . ЭкстримТех . Архивировано из оригинала 22 сентября 2020 года . Проверено 12 сентября 2020 г.
  27. ^ Патель, Дилан (27 октября 2020 г.). «Apple A14 содержит 134 миллиона транзисторов на мм², но плотность не соответствует заявленным TSMC» . Полуанализ . Архивировано из оригинала 12 декабря 2020 года . Проверено 29 октября 2020 г.
  28. ^ «TSMC расширяет лидерство в области передовых технологий с помощью процесса N4P» . TSMC (Пресс-релиз). 26 октября 2021 г.
  29. ^ «TSMC расширяет свое 5-нм семейство новым узлом N4P повышенной производительности» . ВикиЧип . 26 октября 2021 года. Архивировано из оригинала 29 мая 2022 года . Проверено 28 мая 2022 г.
  30. ^ Jump up to: Перейти обратно: а б «TSMC представляет процесс N4X» (пресс-релиз). ТСМС. 16 декабря 2021 г.
  31. ^ Jump up to: Перейти обратно: а б «Будущее уже сейчас (сообщение в блоге)» . ТСМС . 16 декабря 2021 года. Архивировано из оригинала 7 мая 2022 года . Проверено 25 мая 2022 г.
  32. ^ Jump up to: Перейти обратно: а б «TSMC представляет узел N4X» . АнандТех . 17 декабря 2021 года. Архивировано из оригинала 25 мая 2022 года . Проверено 25 мая 2022 г.
  33. ^ Jump up to: Перейти обратно: а б Смит, Райан. «Подробное описание узла процесса Intel 4: двукратное масштабирование плотности, повышение производительности на 20 %» . АнандТех . Архивировано из оригинала 13 июня 2022 года . Проверено 13 июня 2022 г.
  34. ^ Джонс, Скоттен (13 июня 2022 г.). «Глубокое погружение в Intel 4» . Поливики .
  35. ^ Jump up to: Перейти обратно: а б Шор, Дэвид (19 июня 2022 г.). «Взгляд на технологию процессов Intel 4» . Викичип-предохранитель .
  36. ^ «AMD выпускает процессоры серии Ryzen 7000 для настольных ПК с архитектурой Zen 4: самое быстрое ядро ​​в играх» (пресс-релиз). 29 августа 2022 г. Проверено 31 марта 2023 г.
  37. ^ Викенс, Кэти (30 августа 2022 г.). «Лиза Су из AMD подтверждает архитектуру графического процессора RDNA 3 на базе чиплетов» . ПК-геймер . Проверено 20 сентября 2022 г.
  38. ^ «Международная дорожная карта IRDS для устройств и систем, издание 2017 г.» (PDF) . Архивировано из оригинала (PDF) 25 октября 2018 года.
  39. ^ Jump up to: Перейти обратно: а б с Джонс, Скоттен (29 апреля 2020 г.), «Может ли TSMC сохранить лидерство в области технологических процессов» , SemiWiki , заархивировано из оригинала 13 мая 2022 г. , получено 11 апреля 2022 г.
  40. ^ «Обновление Samsung Foundry 2019» . Поливики . 6 августа 2019 года. Архивировано из оригинала 29 мая 2022 года . Проверено 14 мая 2022 г.
  41. ^ Jump up to: Перейти обратно: а б «Обновление Samsung 5 нм и 4 нм» . ВикиЧип . 19 октября 2019 г.
  42. ^ Jump up to: Перейти обратно: а б «Процесс литографии 5 нм» . ВикиЧип . Архивировано из оригинала 6 ноября 2020 года . Проверено 30 апреля 2017 г. .
  43. ^ Jump up to: Перейти обратно: а б с д «Samsung 3-нм GAAFET приступает к рисковому производству; обсуждает улучшения следующего поколения» . 5 июля 2022 г.
  44. ^ «NVIDIA совершает квантовый скачок в производительности и открывает новую эру нейронного рендеринга с серией GeForce RTX 40» . Отдел новостей NVIDIA . Проверено 20 сентября 2022 г.
  45. ^ «ПРАВДА о TSMC 5 нм» .
  46. ^ «N3E заменяет N3; поставляется во многих вариантах» . 4 сентября 2022 г.
  47. ^ Jump up to: Перейти обратно: а б МЕЖДУНАРОДНАЯ ДОРОЖНАЯ КАРТА ДЛЯ УСТРОЙСТВ И СИСТЕМ, ИЗДАНИЕ 2017 ГОДА – БОЛЬШЕ МУРА (PDF) , ITRS, 2017, раздел 4.5. Записи в таблице MM-10 (стр. 12): «Область битовых ячеек SRAM (um2)»; «Плотность ячеек SRAM 111 бит - Мбит/мм2», заархивировано из оригинала (PDF) 25 октября 2018 г. , получено 24 октября 2018 г.
  48. ^ Jump up to: Перейти обратно: а б с д «Мы только что стали свидетелями смерти SRAM?» . 4 декабря 2022 г.
  49. ^ Джей Си Лю; и др. Повышенная надежность 5-нм CMOS-технологии с FinFET 5-го поколения с полностью развитым EUV и каналом высокой мобильности для мобильных SoC и высокопроизводительных вычислительных приложений . Международная конференция по электронным устройствам IEEE 2020 (IEDM). дои : 10.1109/IEDM13553.2020.9372009 .
  50. ^ «Samsung Foundry обещает превзойти TSMC в течение пяти лет» .
  51. ^ Jump up to: Перейти обратно: а б Катресс, доктор Ян. «Дорожная карта Intel до 2025 года: с 4 нм, 3 нм, 20 А и 18 А?!» . АнандТех . Архивировано из оригинала 3 ноября 2021 года . Проверено 27 июля 2021 г.
  52. ^ https://www.anandtech.com/show/21371/tsmc-preps-lower-cost-4nm-n4c-process-for-2025
  53. ^ Ранее назывался Intel 7 нм.
  54. ^ Боншор, Гэвин (20 октября 2022 г.). «Обзор Intel Core i9-13900K и i5-13600K: Raptor Lake приносит больше удовольствия» . АнандТех . Проверено 28 сентября 2023 г.
  55. ^ «TSMC N3 и предстоящие задачи» . 27 мая 2023 г.
  56. ^ Гартенберг, Хаим (29 июля 2021 г.). «Лето Intel отстало» . Грань . Архивировано из оригинала 22 декабря 2021 года . Проверено 22 декабря 2021 г.
  57. ^ «Intel представляет архитектуру Meteor Lake: Intel 4 предвещает дезагрегированное будущее мобильных процессоров» .
  58. ^ «Международная технологическая дорожная карта для полупроводников 2.0, исполнительный отчет, издание 2015 г.» (PDF) . Semiconductors.org . Архивировано из оригинала (PDF) 2 октября 2016 года . Проверено 7 декабря 2017 г.
  59. ^ «Процесс литографии 5 нм» . ВикиЧип . Архивировано из оригинала 6 ноября 2020 года . Проверено 7 декабря 2017 г.
  60. ^ «Узел Samsung GAAFET 3 нм отложен до 2024 года» . 30 июня 2021 года. Архивировано из оригинала 17 декабря 2021 года . Проверено 8 июля 2021 г.
  61. ^ Шилов, Антон. «Samsung: развертывание 3-нм узла GAE запланировано на 2022 год» . АнандТех . Архивировано из оригинала 27 июля 2021 года . Проверено 27 июля 2021 г.
  62. ^ Шилов, Антон. «Обновление TSMC: 2 нм в разработке, 3 и 4 нм на подходе к 2022 году» . АнандТех . Архивировано из оригинала 27 июля 2021 года . Проверено 27 июля 2021 г.
  63. ^ «15 взглядов с кремниевого саммита: макро- и нано-перспективы развития чипов» . ЭЭ Таймс . 16 января 2017 года. Архивировано из оригинала 28 июня 2018 года . Проверено 4 июня 2018 г.

Внешние ссылки [ править ]

Предшественник
«7 нм» ( FinFET )
MOSFET изготовления полупроводниковых устройств Процесс Преемник
«3 нм» ( FinFET / GAAFET )
Arc.Ask3.Ru: конец переведенного документа.
Arc.Ask3.Ru
Номер скриншота №: 775317302e1c6ab3c98f064c392f621f__1718279880
URL1:https://arc.ask3.ru/arc/aa/77/1f/775317302e1c6ab3c98f064c392f621f.html
Заголовок, (Title) документа по адресу, URL1:
5 nm process - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть. Любые претензии, иски не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, вы не можете использовать данный сайт и информация размещенную на нем (сайте/странице), немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, Денежную единицу (имеющую самостоятельную стоимость) можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)