Jump to content

Экспонента Карлица

В математике экспонента Карлица является характеристическим аналогом обычной показательной функции, изучаемой в реальном и комплексном анализе . Он используется в определении модуля Карлитца — примера модуля Дринфельда .

Определение [ править ]

Мы работаем над кольцом полиномов F q [ T ] одной переменной над конечным полем F q с q элементами. Пополнение ( C алгебраического замыкания поля F q ( T −1 )) формального ряда Лорана в T −1 будет полезно. Это полное и алгебраически замкнутое поле.

Сначала нам нужны аналоги факториалов , которые фигурируют в определении обычной показательной функции. Для i > 0 определим

и D 0 := 1. Заметим, что обычный факториал здесь неуместен, поскольку n ! исчезает в F q [ T ], если не меньше характеристики F n q [ T ].

Используя это, мы определяем экспоненту Карлица e C : C C сходящейся суммой

Связь с модулем Carlitz [ править ]

Экспонента Карлица удовлетворяет функциональному уравнению

где мы можем посмотреть как сила карта или как элемент кольца многочленов некоммутативных . По универсальному свойству колец полиномов от одной переменной это продолжается до гомоморфизма колец ψ : F q [ T ]→ C { τ ]-модуль Дринфельда }, определяющего F q [ T над C { τ }. Он называется модулем Карлитца.

Ссылки [ править ]

  • Госс, Д. (1996). Основные структуры арифметики функциональных полей . Результаты по математике и смежным областям (3)]. Том 35. Берлин, Нью-Йорк: Springer-Verlag . ISBN  978-3-540-61087-8 . МР   1423131 .
  • Тхакур, Динеш С. (2004). Арифметика функционального поля . Нью-Джерси: World Scientific Publishing . ISBN  978-981-238-839-1 . МР   2091265 .
Arc.Ask3.Ru: конец переведенного документа.
Arc.Ask3.Ru
Номер скриншота №: 1316a1906d88d1f4084f13b3d7f711a3__1622670180
URL1:https://arc.ask3.ru/arc/aa/13/a3/1316a1906d88d1f4084f13b3d7f711a3.html
Заголовок, (Title) документа по адресу, URL1:
Carlitz exponential - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть. Любые претензии, иски не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, вы не можете использовать данный сайт и информация размещенную на нем (сайте/странице), немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, Денежную единицу (имеющую самостоятельную стоимость) можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)