Jump to content

Поток к ЛПВП

Инструменты и методы Flow to HDL преобразуют проектирование системы на основе потока в язык описания оборудования (HDL), такой как VHDL или Verilog . Обычно это метод создания проектов программируемых вентильных матриц , интегральных схем для конкретных приложений прототипирования и проектирования цифровой обработки сигналов (DSP). Проектирование системы, основанное на потоке, хорошо подходит [ по мнению кого? ] к проектированию программируемой вентильной матрицы, поскольку легче указать врожденный параллелизм архитектуры.

История [ править ]

Использование инструментов потокового проектирования в инженерии является относительно новой тенденцией. Унифицированный язык моделирования является наиболее широко используемым примером проектирования программного обеспечения. Использование инструментов проектирования на основе потоков позволяет обеспечить более целостное проектирование системы и ускорить разработку. Инструменты и поток C для HDL преследуют аналогичную цель, но с использованием C или C-подобных языков программирования .

Приложения [ править ]

Большинство приложений выполняются слишком долго при существующих суперкомпьютерных архитектурах. К ним относятся биоинформатика, CFD , финансовая обработка и анализ данных разведки нефти и газа. Встроенные приложения, требующие высокой производительности или обработки данных в реальном времени, также являются областью использования. Проектирование системы на кристалле также может быть выполнено с использованием этого процесса.

Примеры [ править ]

  • Системный генератор Xilinx от Xilinx
  • StarBridge VIVA из несуществующего
  • Нимб из несуществующей Exsedia

Внешние ссылки [ править ]

См. также [ править ]

Arc.Ask3.Ru: конец переведенного документа.
Arc.Ask3.Ru
Номер скриншота №: 3c279c37bb4bfd3e4276351acbf707bd__1673083440
URL1:https://arc.ask3.ru/arc/aa/3c/bd/3c279c37bb4bfd3e4276351acbf707bd.html
Заголовок, (Title) документа по адресу, URL1:
Flow to HDL - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть. Любые претензии, иски не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, вы не можете использовать данный сайт и информация размещенную на нем (сайте/странице), немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, Денежную единицу (имеющую самостоятельную стоимость) можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)