~~~~~~~~~~~~~~~~~~~~ Arc.Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~ 
Номер скриншота №:
✰ 746E6784261C9EC2A7CEBEC142D66D1E__1711622880 ✰
Заголовок документа оригинал.:
✰ Chisel (programming language) - Wikipedia ✰
Заголовок документа перевод.:
✰ Chisel (язык программирования) — Википедия ✰
Снимок документа находящегося по адресу (URL):
✰ https://en.wikipedia.org/wiki/Chisel_(programming_language) ✰
Адрес хранения снимка оригинал (URL):
✰ https://arc.ask3.ru/arc/aa/74/1e/746e6784261c9ec2a7cebec142d66d1e.html ✰
Адрес хранения снимка перевод (URL):
✰ https://arc.ask3.ru/arc/aa/74/1e/746e6784261c9ec2a7cebec142d66d1e__translat.html ✰
Дата и время сохранения документа:
✰ 20.06.2024 22:38:19 (GMT+3, MSK) ✰
Дата и время изменения документа (по данным источника):
✰ 28 March 2024, at 13:48 (UTC). ✰ 

~~~~~~~~~~~~~~~~~~~~~~ Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~~ 
Сервисы Ask3.ru: 
 Архив документов (Снимки документов, в формате HTML, PDF, PNG - подписанные ЭЦП, доказывающие существование документа в момент подписи. Перевод сохраненных документов на русский язык.)https://arc.ask3.ruОтветы на вопросы (Сервис ответов на вопросы, в основном, научной направленности)https://ask3.ru/answer2questionТоварный сопоставитель (Сервис сравнения и выбора товаров) ✰✰
✰ https://ask3.ru/product2collationПартнерыhttps://comrades.ask3.ru


Совет. Чтобы искать на странице, нажмите Ctrl+F или ⌘-F (для MacOS) и введите запрос в поле поиска.
Arc.Ask3.ru: далее начало оригинального документа

Chisel (язык программирования) — Википедия Jump to content

Chisel (язык программирования)

Из Википедии, бесплатной энциклопедии
Конструирование оборудования на встроенном языке Scala (Chisel)
Парадигмы Мультипарадигмальность : параллельная , функциональная , императивная , объектно-ориентированная.
Семья Скала
Разработчик Калифорнийский университет, Беркли
Впервые появился июнь 2012 г .; 12 лет назад ( 2012-06 )
Стабильная версия
3.6.0 / 14 апреля 2023 г .; 14 месяцев назад ( 14.04.2023 )
Дисциплина набора текста Предполагаемый , статический , сильный , структурный.
Объем Лексический (статический)
Язык реализации Скала
Платформа Виртуальная машина Java (JVM)
JavaScript ( Scala.js )
LLVM ( Scala Native ) (экспериментальный)
Веб-сайт www .chisel-lang .org

Chisel ( аббревиатура от « Конструирование оборудования на встроенном языке Scala»). [1] с открытым исходным кодом ) — это язык описания аппаратного обеспечения (HDL), используемый для описания цифровой электроники и схем на уровне передачи регистров . [2] [3]

Chisel основан на Scala как предметно-ориентированном языке (DSL). Chisel унаследовал аспекты объектно-ориентированного и функционального программирования Scala для описания цифрового оборудования. Использование Scala в качестве основы позволяет описывать генераторы схем. Высококачественная документация в свободном доступе существует на нескольких языках. [4]

Схемы, описанные в Chisel, можно преобразовать в описание в Verilog для синтеза и моделирования .

Примеры кода [ править ]

Простой пример, описывающий схему сумматора и показывающий организацию компонентов в модуле с ввода и вывода портами :

класс   Add   расширяет   модуль   { 
   val   io   =   IO  (  new   Bundle   { 
     val   a   =   Input  (  UInt  (  8  .  W  )) 
     val   b   =   Input  (  UInt  (  8  .  W  )) 
     val   y   =   Output  (  UInt  (  8  .  W  )) 
   } ) 

   ио  .   y   :=   ио  .   а   +   ио  .   б 
 } 

32-битный регистр со значением сброса 0:

val   reg   =   RegInit  (  0  .  U  (  32  .  W  )) 

Мультиплексор является частью библиотеки Chisel:

val   result   =   Mux  (  sel  ,   a  ,   b  ) 

Используйте [ править ]

Хотя Chisel еще не стал основным языком описания оборудования, его исследовали несколько компаний и учреждений. Наиболее известным применением Chisel является реализация набора инструкций RISC-V , чипа Rocket с открытым исходным кодом. [5] ) упоминает Chisel Агентство перспективных исследовательских проектов Министерства обороны ( DARPA как технологию, позволяющую повысить эффективность проектирования электроники, когда небольшие группы разработчиков создают более крупные конструкции. [6] Google использовала Chisel для разработки тензорного процессора для периферийных вычислений . [7] Некоторые разработчики предпочитают Chisel, поскольку он требует в 5 раз меньше кода и его разработка намного быстрее, чем Verilog. [8]

Схемы, описанные в Chisel, можно преобразовать в описание в Verilog для синтеза и моделирования с помощью программы под названием FIRRTL . [9] [ нужен лучший источник ]

См. также [ править ]

Ссылки [ править ]

  1. ^ Бахрах, Дж.; Во, Х.; Ричардс, Б.; Ли, Ю.; Уотерман, А.; Авижиенис Р.; Вавжинек, Дж.; Асанович, К. (июнь 2012 г.). «Chisel: создание аппаратного обеспечения на встроенном языке Scala» . Материалы 49-й ежегодной конференции по автоматизации проектирования (DAC 2012) . Сан-Франциско, Калифорния, США: Ассоциация вычислительной техники (ACM). стр. 1216–25. дои : 10.1145/2228360.2228584 . ISBN  978-1-4503-1199-1 .
  2. ^ «Долото» . люди.eecs.berkeley.edu . Калифорния, США: Калифорнийский университет, Беркли . Архивировано из оригинала 16 октября 2021 г. Проверено 8 июля 2020 г.
  3. ^ Бахрах, Джонатан (ред.). «Долото: ускорение проектирования оборудования» (PDF) . РИСК-В . Калифорния, США: RISC-V International.
  4. ^ Шоберль, Мартин (30 августа 2019 г.). Цифровой дизайн с долотом (на английском, китайском, японском и вьетнамском языках) (2-е изд.). Kindle Direct Publishing. ISBN  978-1689336031 .
  5. ^ Асанович, Крсте ; и другие. «ракетный чип» . Гитхаб . RISC-V International . Проверено 11 ноября 2016 г.
  6. ^ Мур, Сэмюэл К. (16 июля 2018 г.). «DARPA планирует масштабный ремейк американской электроники» . IEEE-спектр . Институт инженеров по электротехнике и электронике (IEEE) . Проверено 10 июня 2020 г.
  7. ^ Дерек Локхарт, Стивен Твигг, Рави Нараянасвами, Джереми Кориелл, Удай Дасари, Ричард Хо, Дуг Хогберг, Джордж Хуанг, Ананд Кейн, Чинтан Каур, Тао Лю, Адриана Маджоре, Кевин Таунсенд, Эмре Тансер (16 ноября 2018 г.). Опыт создания Edge TPU с Chisel . Проверено 10 июня 2020 г.
  8. ^ «64-битный RISC-V процессор XiangShan с открытым исходным кодом составит конкуренцию Arm Cortex-A76 — CNX Software» . CNX Software — Новости встраиваемых систем . 05.07.2021 . Проверено 26 марта 2022 г.
  9. ^ «Структура аппаратного компилятора Chisel/FIRRTL» . Проверено 8 сентября 2022 г.

Внешние ссылки [ править ]

Arc.Ask3.Ru: конец оригинального документа.
Arc.Ask3.Ru
Номер скриншота №: 746E6784261C9EC2A7CEBEC142D66D1E__1711622880
URL1:https://en.wikipedia.org/wiki/Chisel_(programming_language)
Заголовок, (Title) документа по адресу, URL1:
Chisel (programming language) - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть, любые претензии не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, денежную единицу можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)