VHDL-AMS
VHDL-AMS является производным от языка описания аппаратного обеспечения VHDL (IEEE 1076-2002). Он включает расширения аналоговых сигналов и смешанных сигналов (AMS) для определения поведения аналоговых систем и систем смешанных сигналов (IEEE 1076.1-2017).
Стандарт VHDL-AMS был создан с целью дать возможность разработчикам аналоговых и смешанных сигнальных систем и интегральных схем создавать и использовать модули, инкапсулирующие описания поведения высокого уровня, а также структурные описания систем и компонентов. [1]
VHDL-AMS — это стандартный язык моделирования схем со смешанными сигналами. Он обеспечивает семантику моделирования как в непрерывном времени, так и на основе событий, поэтому подходит для аналоговых, цифровых и смешанных аналогово-цифровых схем. Он особенно хорошо подходит для проверки очень сложных аналоговых, смешанных и радиочастотных интегральных схем.
Пример кода [ править ]
В VHDL-AMS проект состоит как минимум из объекта , описывающего интерфейс, и архитектуры , содержащей фактическую реализацию. Кроме того, большинство проектов импортируют библиотечные модули. Некоторые проекты также содержат несколько архитектур и конфигураций .
Простой идеальный диод в VHDL-AMS будет выглядеть примерно так:
library IEEE;
use IEEE.math_real.all;
use IEEE.electrical_systems.all;
-- this is the entity
entity DIODE is
generic (iss : current := 1.0e-14);
port (terminal anode, cathode : electrical);
end entity DIODE;
architecture IDEAL of DIODE is
quantity v across i through anode to cathode;
constant vt : voltage := 0.0258;
begin
i == iss * (exp(v/vt) - 1.0);
end architecture IDEAL;
Симуляторы VHDL-AMS [ править ]
- ANSYS Простейший
- Cadence Virtuoso Дизайнер AMS
- Интеграция дельфинов SMASH
- Mentor Graphics Квеста ЗРК
- Ментор Графика SystemVision
- Синопсис СаберРД
Ссылки [ править ]
- ^ Кристен Э., Бакалар К., «VHDL-AMS - язык описания оборудования для приложений с аналоговыми и смешанными сигналами», Схемы и системы II: обработка аналоговых и цифровых сигналов, транзакции IEEE на [см. также Схемы и системы II: Экспресс Краткие сведения, Транзакции IEEE, том 46, выпуск 10, октябрь 1999 г., стр. 1263–1272.
См. также [ править ]
- Verilog-AMS , производная от аналоговых и смешанных сигналов языка Verilog. описания аппаратного обеспечения
- VHDL
- Автоматизация электронного проектирования
- Очень масштабная интеграция
- Modelica — язык моделирования физических систем.