~~~~~~~~~~~~~~~~~~~~ Arc.Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~ 
Номер скриншота №:
✰ 0B75FC8AD745A737795EDEBBACCE25C2__1709687940 ✰
Заголовок документа оригинал.:
✰ Aldec - Wikipedia ✰
Заголовок документа перевод.:
✰ Альдек — Википедия ✰
Снимок документа находящегося по адресу (URL):
✰ https://en.wikipedia.org/wiki/Aldec ✰
Адрес хранения снимка оригинал (URL):
✰ https://arc.ask3.ru/arc/aa/0b/c2/0b75fc8ad745a737795edebbacce25c2.html ✰
Адрес хранения снимка перевод (URL):
✰ https://arc.ask3.ru/arc/aa/0b/c2/0b75fc8ad745a737795edebbacce25c2__translat.html ✰
Дата и время сохранения документа:
✰ 20.06.2024 22:39:38 (GMT+3, MSK) ✰
Дата и время изменения документа (по данным источника):
✰ 6 March 2024, at 04:19 (UTC). ✰ 

~~~~~~~~~~~~~~~~~~~~~~ Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~~ 
Сервисы Ask3.ru: 
 Архив документов (Снимки документов, в формате HTML, PDF, PNG - подписанные ЭЦП, доказывающие существование документа в момент подписи. Перевод сохраненных документов на русский язык.)https://arc.ask3.ruОтветы на вопросы (Сервис ответов на вопросы, в основном, научной направленности)https://ask3.ru/answer2questionТоварный сопоставитель (Сервис сравнения и выбора товаров) ✰✰
✰ https://ask3.ru/product2collationПартнерыhttps://comrades.ask3.ru


Совет. Чтобы искать на странице, нажмите Ctrl+F или ⌘-F (для MacOS) и введите запрос в поле поиска.
Альдек — Jump to content

Альдек

Из Википедии, бесплатной энциклопедии
АЛДЕК, Инк.
тип компании Частный
Промышленность ЭДА
Основан 1984
Главное управление Хендерсон, Невада ,
Соединенные Штаты
Продукты Active-HDL, ALINT-PRO, Riviera-PRO, Spec-TRACER, RTAX/RTSX прототипирование, HES-DVM, HES-7, TySOM
Веб-сайт aldec.com

Aldec, Inc. — частная компания по автоматизации электронного проектирования, расположенная в Хендерсоне, штат Невада, которая предоставляет программное и аппаратное обеспечение , используемое для создания и проверки цифровых проектов, ориентированных на технологии FPGA и ASIC .

Как член Accellera и Ассоциации стандартов IEEE, Aldec активно участвует в процессе разработки новых стандартов и обновления существующих стандартов (например, VHDL , SystemVerilog ). Aldec предоставляет механизм моделирования языка описания оборудования (HDL) для других инструментов EDA, таких как Altium Designer , и объединяет специальные версии своих инструментов с программным обеспечением поставщиков FPGA , таким как Lattice . [1]

История [ править ]

1984-1999 [ править ]

Компания Aldec была основана в 1984 году доктором Стэнли М. Хайдьюком. [ нужна цитата ] В 1985 году компания выпустила свой первый продукт: на базе MS-DOS симулятор вентильного уровня SUSIE . В течение следующих нескольких лет несколько версий продукта использовались в качестве сопутствующих симуляторов для популярных инструментов ввода схем, таких как OrCAD . [ нужна цитата ] Почувствовав растущую популярность Microsoft Windows , компания Aldec перенесла свой симулятор на эту платформу и добавила инструмент ввода схем и управления проектированием. Новый пакет программного обеспечения был выпущен в 1992 году как Active-CAD (некоторые младшие версии пакета некоторое время продавались под брендом Susie-CAD ). Одной из отличительных особенностей Active-CAD стала возможность мгновенной передачи изменений схемы в симулятор, позволяющая быстро проверить поведение модифицированной схемы. [ нужна цитата ]

В 1996 году Aldec подписала соглашение с Xilinx , которое позволяло распространять версию Active-CAD только для Xilinx под названием Foundation . В то время как VHDL и Verilog поддерживались Active-CAD в виде схематических макросов, выпуск Active-VHDL в 1997 году ознаменовал переход от проектирования на основе списков соединений к проектированию на основе HDL. После добавления поддержки Verilog Active-VHDL был переименован в Active-HDL и по-прежнему доступен с 2020 года. [ нужна цитата ]

2000-2024 [ править ]

В 2000 году Aldec выпустила высокопроизводительный симулятор HDL, работающий не только на платформах Windows , но и на платформах Solaris и Linux . [2] В 2001 году компания ALDEC добавила в свою линейку продуктов аппаратное обеспечение: платформу HES (Hardware Embedded Simulation), обеспечивающую аппаратное ускорение HDL-моделирования и поэтапное прототипирование оборудования. 2003 год ознаменовался выпуском Riviera-PRO, поддерживающего проверку на основе утверждений ( OpenVera , PSL и SystemVerilog можно использовать для записи свойств, утверждений и покрытия). [ нужна цитата ] Поддержка SystemC без утверждений и части SystemVerilog была добавлена ​​в 2004 году. Интерфейсы для MATLAB и Simulink впервые появились в инструментах Aldec в 2005 году. [ нужна цитата ] В 2006 году Riviera-PRO стал первым симулятором, поддерживающим инициативу Open IP Encryption Initiative от Synplicity . [3]

По просьбам пользователей Verilog компания Aldec в 2007 году выпустила усовершенствованный, настраиваемый пользователем инструмент проверки , реализующий правила, созданные STJapanese консорциумом крупнейших производителей микросхем. В 2008 году компания выпустила ALINT: Design Rule Checker (STARC – Японский консорциум 11 компаний ASIC). В 2010 году была реализована поддержка VHDL IEEE 1076-2008. Также в 2010 году Active-HDL от Aldec получил награду за лучший инструмент проектирования и моделирования FPGA в Китае. В 2011 году Aldec предоставила поддержку UVM 1.0, OVM 2.1.2 и VMM 1.1.1a, а также выпустила эмулятор дизайна с частотой 4 МГц. Он также стал лучшим поставщиком платформ для проектирования и проверки FPGA в Китае. В 2012 году Aldec вышла на рынок прототипирования SoC/ASIC с HES-7 и совместно запустила OSVVM и VHDL Verification. В 2013 году Aldec выпустила систему управления жизненным циклом требований Spec-TRACER. В 2015 году Aldec выпустила ALINT-PRO с проверкой CDC, а в 2016 году Aldec выпустила линейку продуктов TySOM для разработки встраиваемых систем с использованием SoC FPGA. В 2020 году появилась поддержка VHDL IEEE 1076-2019. [ нужна цитата ]

Продукты [ править ]

Программное обеспечение [ править ]

  • Active-HDL — среда разработки FPGA, построенная на базе симулятора HDL общего ядра. Поддерживает текстовые и графические инструменты ввода и отладки проектирования, позволяет проводить моделирование на разных языках (VHDL/Verilog/EDIF/SystemC/SystemVerilog) и обеспечивает унифицированный интерфейс для различных инструментов синтеза и реализации. Также поддерживается проверка на основе утверждений с помощью операторов утверждений Open Vera, PSL или Systemverilog. Доступны специальные версии программного обеспечения, поддерживающие только одного поставщика FPGA, например Active-HDL Lattice Edition . Доступно только на платформе MS Windows.
  • Riviera-PRO — высокопроизводительный HDL-симулятор, ориентированный на ASIC и большие конструкции FPGA. Riviera-PRO расширяет возможности моделирования Active-HDL за счет поддержки передовых методологий проверки, таких как линтинг, функциональное покрытие, OVM и UVM , аппаратное ускорение и прототипирование. Riviera-PRO — это новое поколение инструмента, известного как Riviera-Classic, доступное в 32-битной и 64-битной версиях для MS Windows и Linux.
  • HES-DVM - решение, позволяющее ускорить HDL-моделирование (сокращение времени проверки в 10–50 раз), эмуляцию всего проекта и совместное моделирование аппаратного и программного обеспечения (полезно при разработке встраиваемых систем ).
  • ALINT-PRO — единая платформа для проверки/анализа правил проектирования и анализа CDC. ALINT-PRO может проводить обширный текстовый анализ отдельных источников проектов Verilog, VHDL и SystemVerilog, а также расширенные проверки всей иерархии проектов. Доступно несколько наборов заранее определенных правил с широкими возможностями настройки, а новые пользовательские правила можно создавать с помощью предоставленного API . Встроенная методология поэтапного линтинга позволяет быстрее и эффективнее проверять правила. ALINT-PRO плавно поддерживает выполнение проверок правил для проектов, нацеленных на реализацию FPGA с использованием технологий Xilinx , Intel , Microsemi и Lattice с минимальной настройкой.
  • Spec-TRACER — унифицированное приложение для управления жизненным циклом требований, разработанное специально для проектов FPGA и ASIC. Облегчает сбор требований, управление, анализ, отслеживаемость и отчетность; интегрируется с инструментами проектирования и моделирования HDL на базе Windows.
  • IP-продукты — набор блоков интеллектуальной собственности общего назначения, созданных компанией Aldec и ее партнерами и проверенных в средах Active-HDL и Riviera-PRO.

Аппаратное обеспечение [ править ]

  • HES-7 высокой емкости и высокой плотности FPGA на основе ASIC — решение для прототипирования . С помощью макетных плат Xilinx Virtex-7 на базе FPGA HES-7 позволяет тестировать конструкции до 24 миллионов вентилей ASIC.
  • Прототипирование Microsemi RTAX/RTSX — эффективный способ прототипирования конструкций с помощью радиационно-стойкой FPGA с использованием совместимых по размеру макетных плат с перепрограммируемыми микросхемами на основе флэш-памяти. Решение включает в себя дополнительное программное обеспечение для перевода списка соединений.
  • Система тестирования на соответствие DO-254 (CTS) — это комплексное решение для проверки, которое может гарантировать соответствие FPGA в вашей системе DO-254/ED80. CTS дает пользователю возможность выполнить расширенный способ внутриаппаратного моделирования вместо традиционного тестирования оборудования. В качестве векторов тестирования для аппаратного моделирования вы можете повторно использовать один и тот же тестовый стенд с результатами 100% покрытия кода, полученными в результате моделирования RTL. Повторно используя один и тот же испытательный стенд, проверка оборудования может легко обеспечить отслеживаемость требований. Вы можете быстро выполнить аппаратное моделирование на целевом устройстве. CTS также позволяет легко сравнивать и отлаживать результаты аппаратного моделирования и моделирования HDL с помощью формата сигналов.
  • TySOM — встраиваемые платы разработки и дочерние платы FMC на базе серии Xilinx Zynq-7000, предназначенные для IoT, ADAS и Industrial Machine Vision.

Образование [ править ]

Aldec предоставляет полнофункциональные версии своего программного обеспечения со значительной скидкой для образовательных учреждений.

Aldec также предлагает специальную студенческую версию Active-HDL, которую можно загрузить с веб-сайта Aldec. Студенческая версия имеет ограниченные возможности проектирования и некоторое сокращение функциональных возможностей программы, но поддерживает оба языка проектирования (Verilog и VHDL).

В 1999 году он способствовал созданию «Лаборатории цифрового дизайна Aldec» при UNLV . [4]

Программное обеспечение Aldec поставляется с несколькими книгами по электронному дизайну.

Студенческая версия Active-HDL была первым симулятором HDL, который продавался в Walmart . [5]

См. также [ править ]

Ссылки [ править ]

  1. ^ EN-genius Программируемая логика ZONE, «Альянс решетки и Aldec для проектирования и проверки проекта FPGA»
  2. ^ Ричард Геринг, «Aldec представляет симулятор смешанного языка на базе Linux» , EETimes.com, 13 ноября 2000 г.
  3. Кристин Эванс-Пью, «Защита вашей интеллектуальной собственности стала проще». Архивировано 18 октября 2006 г. в Wayback Machine , параграф 11, Electronics Weekly, 13 октября 2006 г.
  4. ^ Сотрудники ECE-UNLV, «ALDEC (...) играет значительную роль в программах ECE». Архивировано 20 июля 2006 г. в Wayback Machine , страница 3, ECE-UNLV News, Том 5, 2005 г.
  5. ^ Сотрудники EDN Online, «Программное обеспечение EDA, продаваемое в Walmart». Архивировано 27 сентября 2007 г. в Wayback Machine , EDN, 20 февраля 2006 г.

Внешние ссылки [ править ]

Arc.Ask3.Ru: конец оригинального документа.
Arc.Ask3.Ru
Номер скриншота №: 0B75FC8AD745A737795EDEBBACCE25C2__1709687940
URL1:https://en.wikipedia.org/wiki/Aldec
Заголовок, (Title) документа по адресу, URL1:
Aldec - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть, любые претензии не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, денежную единицу можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)