~~~~~~~~~~~~~~~~~~~~ Arc.Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~ 
Номер скриншота №:
✰ DB4586D99EAEAC6563B91CADFE8948F3__1716819600 ✰
Заголовок документа оригинал.:
✰ Logic gate - Wikipedia ✰
Заголовок документа перевод.:
✰ Логический вентиль — Википедия ✰
Снимок документа находящегося по адресу (URL):
✰ https://en.wikipedia.org/wiki/Logic_gate ✰
Адрес хранения снимка оригинал (URL):
✰ https://arc.ask3.ru/arc/aa/db/f3/db4586d99eaeac6563b91cadfe8948f3.html ✰
Адрес хранения снимка перевод (URL):
✰ https://arc.ask3.ru/arc/aa/db/f3/db4586d99eaeac6563b91cadfe8948f3__translat.html ✰
Дата и время сохранения документа:
✰ 11.06.2024 10:15:15 (GMT+3, MSK) ✰
Дата и время изменения документа (по данным источника):
✰ 27 May 2024, at 17:20 (UTC). ✰ 

~~~~~~~~~~~~~~~~~~~~~~ Ask3.Ru ~~~~~~~~~~~~~~~~~~~~~~ 
Сервисы Ask3.ru: 
 Архив документов (Снимки документов, в формате HTML, PDF, PNG - подписанные ЭЦП, доказывающие существование документа в момент подписи. Перевод сохраненных документов на русский язык.)https://arc.ask3.ruОтветы на вопросы (Сервис ответов на вопросы, в основном, научной направленности)https://ask3.ru/answer2questionТоварный сопоставитель (Сервис сравнения и выбора товаров) ✰✰
✰ https://ask3.ru/product2collationПартнерыhttps://comrades.ask3.ru


Совет. Чтобы искать на странице, нажмите Ctrl+F или ⌘-F (для MacOS) и введите запрос в поле поиска.
Arc.Ask3.ru: далее начало оригинального документа

Логический вентиль — Википедия Jump to content

Логический вентиль

Из Википедии, бесплатной энциклопедии

Логическая схема 4-битного двоичного сумматора с упреждающим переносом, использующего только логические элементы AND , OR и XOR .

Логический вентиль — это устройство, выполняющее булеву функцию , логическую операцию , выполняемую над одним или несколькими двоичными входами, которая создает один двоичный выход. В зависимости от контекста этот термин может относиться к идеальному логическому вентилю , имеющему, например, нулевое время нарастания и неограниченное разветвление , или он может относиться к неидеальному физическому устройству. [1] (для сравнения см. идеальные и реальные операционные усилители ).

Основной способ построения логических элементов использует диоды или транзисторы , действующие как электронные переключатели . Сегодня большинство логических элементов изготавливаются из МОП-транзисторов металл-оксид-полупроводник ( полевых транзисторов ). [2] Их также можно сконструировать с использованием электронных ламп , электромагнитных реле с релейной логикой , жидкостной логики , пневматической логики , оптики , молекул , акустики, [3] или даже механический или термический [4] элементы.

Логические элементы могут быть каскадированы таким же образом, как и булевы функции, что позволяет построить физическую модель всей булевой логики и, следовательно, всех алгоритмов и математики , которые могут быть описаны с помощью булевой логики. Логические схемы включают в себя такие устройства, как мультиплексоры , регистры , арифметико-логические устройства (АЛУ) и компьютерную память , вплоть до целых микропроцессоров . [5] который может содержать более 100 миллионов логических элементов.

Составные логические элементы И-ИЛИ-Инверт (AOI) и ИЛИ-И-Инверт (OAI) часто используются в схемотехнике, поскольку их конструкция с использованием МОП-транзисторов проще и эффективнее, чем сумма отдельных вентилей. [6]

и развитие История

Двоичная система счисления была усовершенствована Готфридом Вильгельмом Лейбницем древнего И Цзин . (опубликовано в 1705 году) под влиянием двоичной системы [7] [8] Лейбниц установил, что использование двоичной системы сочетает в себе принципы арифметики и логики .

В письме 1886 года Чарльз Сандерс Пирс описал, как логические операции могут выполняться с помощью электрических коммутационных схем. [9] Ранние электромеханические компьютеры были построены на основе переключателей и релейной логики, а не на более поздних разработках электронных ламп (термоэлектронных клапанов) или транзисторов (из которых были построены более поздние электронные компьютеры). Людвиг Витгенштейн представил версию таблицы истинности из 16 строк как предложение 5.101 Логико-философского трактата (1921). Вальтер Боте , изобретатель схемы совпадений , получил часть Нобелевской премии по физике 1954 года за первый современный электронный вентиль И в 1924 году. Конрад Цузе спроектировал и построил электромеханические логические вентили для своего компьютера Z1 (с 1935 по 1938 год).

С 1934 по 1936 год NEC инженер Акира Накашима , Клод Шеннон и Виктор Шестаков представили теорию коммутационных цепей в серии работ, показывающих, что двузначная булева алгебра , открытая ими независимо, может описывать работу коммутационных цепей. [10] [11] [12] [13] Использование этого свойства электрических переключателей для реализации логики является фундаментальной концепцией, лежащей в основе всех электронных цифровых компьютеров . Теория коммутационных цепей стала основой проектирования цифровых схем , поскольку она стала широко известна в электротехническом сообществе во время и после Второй мировой войны , при этом теоретическая строгость заменила специальные методы, преобладавшие ранее. [13]

Устройства металл-оксид-полупроводник (МОП) в виде PMOS и NMOS были продемонстрированы Bell Labs инженерами Мохамедом М. Аталлой и Давоном Кангом в 1960 году. [14] Оба типа позже были объединены и адаптированы в дополнительную логику МОП (КМОП) Чи-Танг Са и Фрэнком Ванлассом из Fairchild Semiconductor в 1963 году. [15]

Символы [ править ]

Синхронный 4-битный символ декадного счетчика прямого/обратного хода (74LS192) в соответствии со стандартом ANSI/IEEE Std. 91-1984 и публикация МЭК 60617-12.

Обычно используются два набора символов для элементарных логических вентилей, оба из которых определены в стандарте ANSI / IEEE Std 91-1984 и его дополнении к стандарту ANSI/IEEE Std 91a-1991. Набор «отличительной формы», основанный на традиционных схемах, используется для простых чертежей и основан на военном стандарте США MIL-STD-806 1950-х и 1960-х годов. [16] Иногда его неофициально называют «военным», что отражает его происхождение. Набор «прямоугольной формы», основанный на ANSI Y32.14 и других ранних отраслевых стандартах, позднее уточненных IEEE и IEC, имеет прямоугольные контуры для всех типов ворот и позволяет отображать гораздо более широкий спектр устройств, чем это возможно с традиционными стандартами. символы. [17] Стандарт МЭК, IEC 60617-12, был принят другими стандартами, такими как EN 60617-12:1999 в Европе, BS EN 60617-12:1999 в Великобритании и DIN EN 60617-12:1998 в Германии.

Общей целью стандартов IEEE Std 91-1984 и IEC 617-12 было обеспечение единообразного метода описания сложных логических функций цифровых схем с помощью схематических символов. Эти функции были более сложными, чем простые логические элементы И и ИЛИ. Это могут быть схемы среднего размера, такие как 4-битный счетчик, или схемы большого размера, такие как микропроцессор.

МЭК 617-12 и его преемник МЭК 60617-12 с измененной нумерацией не содержат явных символов «отличительной формы», но и не запрещают их. [17] Однако в стандарте ANSI/IEEE 91 (и 91a) они показаны с таким примечанием: «Символ отличительной формы, согласно публикации IEC 617, часть 12, не является предпочтительным, но не считается противоречащим этому стандарту». стандарт». МЭК 60617-12 соответственно содержит примечание (раздел 2.1) «Хотя это и не является предпочтительным, использование других символов, признанных официальными национальными стандартами, то есть отличительных форм вместо символов [список основных элементов], не должно считаться в противоречии с настоящим стандартом. Использование этих других символов в сочетании для формирования сложных символов (например, использование в качестве встроенных символов) не рекомендуется». Этот компромисс был достигнут между соответствующими рабочими группами IEEE и IEC, чтобы обеспечить взаимное соответствие стандартов IEEE и IEC друг другу.

В 1980-х годах схемы были преобладающим методом проектирования как печатных плат, так и нестандартных микросхем, известных как вентильные матрицы . Сегодня специальные микросхемы и программируемые пользователем вентильные матрицы обычно разрабатываются с использованием языков описания оборудования (HDL), таких как Verilog или VHDL .

Тип Отличительная форма
(IEEE стандарт 91/91a-1991)
Прямоугольная форма
(IEEE стандарт 91/91a-1991)
(МЭК 60617-12:1997)
Булева алгебра между A и B Таблица истинности
Одновходные ворота
Буфер

Символ буфера

Символ буфера

Вход Выход
А вопрос
0 0
1 1
НЕТ
(инвертор)

НЕ символ

НЕ символ

или
Вход Выход
А вопрос
0 1
1 0
В электронике вентиль НЕ чаще называют инвертором. Кружок на символе называется пузырьком и используется в логических схемах для обозначения логического отрицания между внешним логическим состоянием и внутренним логическим состоянием (от 1 до 0 или наоборот). На принципиальной схеме это должно сопровождаться заявлением, подтверждающим, что соглашение о положительной или отрицательной используется логике (уровень высокого напряжения = 1 или уровень низкого напряжения = 1 соответственно). Клин . используется на принципиальных схемах для непосредственного обозначения входа или выхода с активным низким уровнем напряжения (низкий уровень напряжения = 1), не требуя единого соглашения на всей принципиальной схеме Это называется прямой индикацией полярности . См. стандарты IEEE 91/91A и IEC 60617-12. И пузырек , и клин могут использоваться на символах отличительной и прямоугольной формы на принципиальных схемах, в зависимости от используемого логического соглашения. На чисто логических диаграммах только пузырь смысл имеет .
Соединение и дизъюнкция
И

И символ

И символ

или
Вход Выход
А Б вопрос
0 0 0
0 1 0
1 0 0
1 1 1
ИЛИ

символ ИЛИ

символ ИЛИ

или
Вход Выход
А Б вопрос
0 0 0
0 1 1
1 0 1
1 1 1
Альтернативное отрицание и совместное отрицание
NAND

NAND-символ

NAND-символ

или
Вход Выход
А Б вопрос
0 0 1
0 1 1
1 0 1
1 1 0
НИ Символ НО Символ НО или
Вход Выход
А Б вопрос
0 0 1
0 1 0
1 0 0
1 1 0
Исключительный или и двуусловный
БЕСПЛАТНО символ исключающее ИЛИ символ исключающее ИЛИ или
Вход Выход
А Б вопрос
0 0 0
0 1 1
1 0 1
1 1 0
Вывод операции исключающего ИЛИ с двумя входами имеет значение true, только если два входных значения различны , и false, если они равны, независимо от значения. Если входных данных более двух, вывод символа отличительной формы не определен. Вывод символа прямоугольной формы является истинным, если количество истинных входных данных равно ровно одному или ровно числу, следующему за знаком «=" в квалифицирующем символе.
ИСНО-ИЛИ символ XNOR символ XNOR или
Вход Выход
А Б вопрос
0 0 1
0 1 0
1 0 0
1 1 1

символов Моргана Из эквивалентных

Согласно законам Де Моргана , функция И идентична функции ИЛИ с отрицательными входными и выходными данными. Аналогично, функция ИЛИ идентична функции И с отрицательными входными и выходными данными. Вентиль И-НЕ эквивалентен вентилю ИЛИ с отрицательными входами, а вентиль ИЛИ-НЕ эквивалентен вентилю И с отрицательными входами.

Это приводит к альтернативному набору символов для базовых вентилей, в которых используется противоположный основной символ ( И или ИЛИ ), но с инвертированными входами и выходами. Использование этих альтернативных символов может сделать логические схемы более понятными и помочь показать случайное соединение активного высокого выхода с активным низким входом или наоборот. Любое соединение, имеющее логические отрицания на обоих концах, может быть заменено соединением без отрицания и подходящей заменой вентиля или наоборот. Любую связь, имеющую отрицание на одном конце и не имеющую отрицания на другом, можно облегчить интерпретацию, используя вместо этого эквивалентный символ Де Моргана на любом из двух концов. Когда индикаторы отрицания или полярности на обоих концах соединения совпадают, на этом пути нет логического отрицания (по сути, пузырьки «отменяются»), что упрощает отслеживание логических состояний от одного символа к другому. Это обычно наблюдается в реальных логических диаграммах - поэтому читатель не должен привыкать связывать фигуры исключительно как фигуры ИЛИ или И, но также учитывать пузырьки как на входах, так и на выходах, чтобы определить «истинную» логику. указана функция.

Символ Де Моргана может более четко показать основное логическое назначение ворот и полярность их узлов, которые рассматриваются в «сигнальном» (активном, включенном) состоянии. Рассмотрим упрощенный случай, когда вентиль И-НЕ с двумя входами используется для управления двигателем, когда любой из его входов переключается на низкий уровень. «Сигнальное» состояние (двигатель включен) возникает, когда включен один ИЛИ другой переключатель. В отличие от обычного символа И-НЕ, который предполагает логику И, версия Де Моргана, вентиль ИЛИ с двумя отрицательными входами, правильно показывает, что ИЛИ представляет интерес. Обычный символ NAND имеет пузырек на выходе и отсутствует на входах (состояния, противоположные состояниям, при которых включается двигатель), но символ Де Моргана показывает как входы, так и выходы в той полярности, которая будет управлять двигателем.

Теорема Де Моргана чаще всего используется для реализации логических вентилей в виде комбинаций только вентилей И-НЕ или только комбинаций только вентилей ИЛИ-НЕ по экономическим причинам.

Таблицы истинности [ править ]

Сравнение выходов различных логических элементов:

Логические вентили с 1 входом
Вход Выход
А Буфер Инвертор
0 0 1
1 1 0
Логические вентили с 2 входами
Вход Выход
А Б И NAND ИЛИ НИ БЕСПЛАТНО ИСНО-ИЛИ
0 0 0 1 0 1 0 1
0 1 0 1 1 0 1 0
1 0 0 1 1 0 1 0
1 1 1 0 1 0 0 1

Универсальные логические элементы [ править ]

Чарльз Сандерс Пирс (в 1880–1881 годах) показал, что одни вентили ИЛИ (или, альтернативно, только вентили И-НЕ ) могут использоваться для воспроизведения функций всех других логических вентилей, но его работа над этим не публиковалась до 1933 года. [18] Первое опубликованное доказательство было сделано Генри М. Шеффером в 1913 году, поэтому логическую операцию И-НЕ иногда называют ходом Шеффера ; логическое ИЛИ-НЕ иногда называют стрелкой Пирса . [19] Следовательно, эти вентили иногда называют универсальными логическими вентилями . [20]

тип NAND-конструкция НОР строительство
НЕТ
И
NAND
ИЛИ
НИ
БЕСПЛАТНО
ИСНО-ИЛИ

данных и логика Хранение последовательная

Анимация того, как работает защелка ворот SR NOR .

Логические вентили также могут использоваться для хранения состояния, что позволяет хранить данные. Элемент хранения можно сконструировать, соединив несколько вентилей в схему « защелки ». Схема фиксации используется в статической оперативной памяти . Более сложные конструкции, в которых используются тактовые сигналы и которые изменяются только по нарастающему или спадающему фронту тактового сигнала, называются «триггерами », запускаемыми по фронту . Формально триггер называется бистабильной схемой , поскольку он имеет два стабильных состояния, которые может поддерживать неопределенное время. Комбинация нескольких триггеров, включенных параллельно для хранения многоразрядного значения, называется регистром. При использовании любой из этих настроек ворот вся система имеет память; тогда ее называют последовательной логической системой, поскольку на ее выход могут влиять ее предыдущие состояния, т. е. последовательность входных состояний. Напротив, выходные данные комбинационной логики представляют собой просто комбинацию текущих входных данных, на которую не влияют предыдущие состояния входа и выхода.

Эти логические схемы используются в памяти компьютера . Они различаются по производительности в зависимости от таких факторов, как скорость , сложность и надежность хранения, и в зависимости от приложения используется множество различных типов конструкций.

Производство [ править ]

Электронные ворота [ править ]

логическая система Функционально завершенная может состоять из реле , ламп (вакуумных ламп) или транзисторов .

Электронные логические элементы значительно отличаются от своих релейно-переключательных аналогов. Они намного быстрее, потребляют гораздо меньше энергии и намного меньше (в большинстве случаев в миллион и более раз). Кроме того, существует принципиальная структурная разница. Схема переключателя создает непрерывный металлический путь для прохождения тока (в любом направлении) между его входом и выходом. Полупроводниковый логический элемент, с другой стороны, действует как с высоким коэффициентом усиления напряжения усилитель , который поглощает небольшой ток на своем входе и создает напряжение с низким импедансом на своем выходе. Ток не может течь между выходом и входом полупроводникового логического элемента.

Чип 7400, содержащий четыре NAND. Два дополнительных контакта подают питание (+5 В) и подключают землю.

Для создания мелкомасштабной логики разработчики теперь используют готовые логические элементы из таких семейств устройств, как серия TTL 7400 от Texas Instruments , серия CMOS 4000 от RCA и их более поздние потомки. Эти логические элементы с фиксированной функцией все чаще заменяются программируемыми логическими устройствами , которые позволяют разработчикам упаковывать множество смешанных логических элементов в одну интегральную схему. Программируемая природа программируемых логических устройств , таких как FPGA, снизила «жесткие» свойства аппаратного обеспечения; теперь можно изменить логическую схему аппаратной системы путем перепрограммирования некоторых ее компонентов, что позволяет изменить характеристики или функции аппаратной реализации логической системы.

Важным преимуществом семейств стандартизированных интегральных логических схем, таких как семейства 7400 и 4000, является возможность их каскадного подключения. Это означает, что выход одного вентиля можно подключить к входам одного или нескольких других вентилей и так далее. Системы различной степени сложности могут быть построены без особого внимания проектировщика к внутренней работе вентилей, при условии, что будут учтены ограничения каждой интегральной схемы.

Выход одного вентиля может передавать только конечное количество входов другим вентилям, число, называемое « пределом разветвления ». Кроме того, всегда существует задержка, называемая « задержкой распространения », от изменения входа вентиля до соответствующего изменения его выхода. Когда вентили включены каскадно, общая задержка распространения примерно равна сумме отдельных задержек, и этот эффект может стать проблемой в высокоскоростных синхронных схемах . Дополнительная задержка может возникнуть, когда множество входов подключено к выходу из-за распределенной емкости всех входов и проводов, а также конечной величины тока, который может обеспечить каждый выход.

Логические семейства [ править ]

Существует несколько семейств логических систем с разными характеристиками (энергопотребление, скорость, стоимость, размер), таких как: RDL (резисторно-диодная логика), RTL (резисторно-транзисторная логика), DTL (диодно-транзисторная логика), TTL (транзисторно-транзисторная логика ). логика) и CMOS. Существуют также подварианты, например, стандартная логика КМОП по сравнению с расширенными типами, в которых все еще используется технология КМОП, но с некоторыми оптимизациями, позволяющими избежать потери скорости из-за более медленных PMOS-транзисторов.

Простейшее семейство логических элементов использует биполярные транзисторы и называется резисторно-транзисторной логикой (RTL). В отличие от простых диодных логических элементов (которые не имеют элемента усиления), элементы RTL можно включать в каскад бесконечно для создания более сложных логических функций. Вентиляторы RTL использовались в ранних интегральных схемах . Для более высокой скорости и лучшей плотности резисторы, используемые в RTL, были заменены диодами, что привело к диодно-транзисторной логике (DTL). Затем транзисторно-транзисторная логика (TTL) вытеснила DTL.

КМОП- схема вентиля НЕ , также известного как инвертор. МОП-транзисторы — наиболее распространенный способ изготовления логических вентилей.

Поскольку интегральные схемы стали более сложными, биполярные транзисторы были заменены полевыми транзисторами меньшего размера ( MOSFET ); см. PMOS и NMOS . Чтобы еще больше снизить энергопотребление, в большинстве современных микросхем цифровых систем теперь используется логика КМОП . В КМОП используются дополняющие друг друга (как n-канальные, так и p-канальные) МОП-транзисторы для достижения высокой скорости при малой рассеиваемой мощности.

Другие типы логических вентилей включают, помимо прочего: [21]

Логическая семья Сокращение Описание
Диодная логика ДЛ
Туннельная диодная логика ТДЛ Точно так же, как диодная логика, но может работать с более высокой скоростью. [ не удалось пройти проверку ]
Неоновая логика Нидерланды Для реализации логики используются неоновые лампочки или трехэлементные неоновые триггерные трубки.
Основная диодная логика CDL Выполнено полупроводниковыми диодами и небольшими ферритовыми тороидальными сердечниками для умеренной скорости и умеренного уровня мощности.
4-уровневая логика устройства 4ЛПНП Использует тиристоры и тиристоры для выполнения логических операций, где требуется большой ток или высокое напряжение.
Транзисторная логика с прямой связью ДКТЛ Использует транзисторы, переключающиеся между состояниями насыщения и отсечки для выполнения логики. Транзисторы требуют тщательно контролируемых параметров. Экономичен, поскольку требуется мало других компонентов, но имеет тенденцию быть восприимчивым к шуму из-за более низких уровней используемого напряжения. Часто считается отцом современной логики TTL.
металл-оксид-полупроводник Логика нет Использует MOSFET (полевые транзисторы металл-оксид-полупроводник), основу большинства современных логических вентилей. Семейство логики МОП включает логику PMOS , логику NMOS , дополнительную MOS (CMOS) и BiCMOS (биполярную CMOS).
Логика текущего режима ХМЛ Для реализации логики используются транзисторы, но смещение осуществляется от источников постоянного тока, чтобы предотвратить насыщение и обеспечить чрезвычайно быстрое переключение. Имеет высокую помехоустойчивость, несмотря на достаточно низкие логические уровни.
Клеточные автоматы на квантовых точках ККА Использует туннелируемые q-биты для синтеза битов двоичной логики. Электростатическая сила отталкивания между двумя электронами в квантовых точках задает конфигурации электронов (которые определяют состояние 1 или состояние 0) при соответствующих поляризациях. Это бестранзисторный, бестоковый и беспереходный метод синтеза двоичной логики, позволяющий ему иметь очень высокую скорость работы.
Сегнетоэлектрический полевой транзистор FeFET Транзисторы FeFET могут сохранять свое состояние, чтобы ускорить восстановление в случае потери питания. [22]

Логические вентили с тремя состояниями [ править ]

Буфер с тремя состояниями можно рассматривать как переключатель. Если B включен, переключатель замкнут. Если B выключен, переключатель разомкнут.

Логический вентиль с тремя состояниями — это тип логического вентиля, который может иметь три разных выхода: высокий (H), низкий (L) и высокий импеданс (Z). Состояние высокого импеданса не играет никакой роли в логике, которая является строго двоичной. Эти устройства используются на шинах ЦП, чтобы позволить нескольким чипам отправлять данные. Группа трех состояний, управляющих линией с подходящей схемой управления, по сути эквивалентна мультиплексору , который может быть физически распределен по отдельным устройствам или сменным платам.

В электронике высокий выходной сигнал будет означать, что на выходе подается ток от положительной клеммы питания (положительное напряжение). Низкий выходной сигнал будет означать, что выходной ток попадает на отрицательную клемму питания (нулевое напряжение). Высокий импеданс будет означать, что выход фактически отключен от цепи.

Неэлектронные логические элементы [ править ]

Неэлектронные реализации разнообразны, хотя лишь немногие из них используются в практических приложениях. Многие ранние электромеханические цифровые компьютеры, такие как Harvard Mark I , были построены на основе релейных логических элементов с использованием электромеханических реле . Логические вентили могут быть изготовлены с использованием пневматических устройств, таких как реле Сортеберга, или механических логических вентилей, в том числе на молекулярном уровне. [23] Различные типы фундаментальных логических элементов были построены с использованием молекул ( молекулярные логические элементы ), которые основаны на химических входных и спектроскопических выходных данных. [24] Логические элементы были сделаны из ДНК (см. ДНК-нанотехнология ). [25] и использовался для создания компьютера под названием MAYA (см. MAYA-II ). Логические вентили могут быть созданы на основе квантово-механических эффектов, см. квантовый логический вентиль . Фотонные логические вентили используют нелинейные оптические эффекты.

вентиля В принципе, любой метод, который приводит к созданию функционально завершенного (например, вентиль ИЛИ-НЕ или И-НЕ), может быть использован для создания любой цифровой логической схемы. Обратите внимание, что использование логики с 3 состояниями для шинных систем не требуется и может быть заменено цифровыми мультиплексорами, которые могут быть построены с использованием только простых логических элементов (таких как логические элементы И-НЕ, логические элементы ИЛИ или логические элементы И и ИЛИ).

См. также [ править ]

Ссылки [ править ]

  1. ^ Джагер (1997). Проектирование микроэлектронных схем . МакГроу-Хилл . стр. 226–233. ISBN  0-07-032482-4 .
  2. ^ Канеллос, Майкл (11 февраля 2003 г.). «Закон Мура будет действовать еще десять лет» . CNET . Из Интегральной схемы
  3. ^ https://pubs.aip.org/aip/apl/article-abstract/106/11/113503/27163/Acoustic-logic-gates-and-Boolean-operation-based?redirectedFrom=fulltext
  4. ^ Ван, Лей; Ли, Баовэн (2007). «Термальные логические ворота: вычисления с фононами» . Письма о физических отзывах . 99 (17): 177208. arXiv : 0709.0032 . Бибкод : 2007PhRvL..99q7208W . doi : 10.1103/PhysRevLett.99.177208 . ПМИД   17995368 . S2CID   10934270 .
  5. ^ Дешам, Жан-Пьер; Вальдеррама, Елена; Терес, Луис (12 октября 2016 г.). Цифровые системы: от логических элементов к процессорам . Спрингер. ISBN  978-3-319-41198-9 .
  6. ^ Тиндер, Ричард Ф. (2000). Инженерный цифровой дизайн (2-е изд.). Академическая пресса. стр. 317–319. ISBN  0-12-691295-5 .
  7. ^ Нилан, Майкл (2001). Пять «конфуцианских» классиков . Издательство Йельского университета . стр. 204–206. ISBN  978-0-300-08185-5 . Проверено 8 июня 2010 г.
  8. ^ Перкинс, Франклин (2004). «Обмен с Китаем» . Лейбниц и Китай: торговля света . Издательство Кембриджского университета . п. 117. ИСБН  9780521830249 . ... один из традиционных порядков гексаграмм, порядок сяньтянь ту , созданный Шао Юном, с некоторыми изменениями был тем же порядком, который был обнаружен в двоичной арифметике Лейбница.
  9. ^ Пирс, CS, «Письмо Пирса А. Маркванду », датированное 1886 годом, Сочинения Чарльза С. Пирса , т. 5, 1993, стр. 420–423. Видеть Беркс, Артур В. (1978). «Обзор: Чарльз С. Пирс, Новые элементы математики » . Бюллетень Американского математического общества . 84 (5): 913–918 [917]. дои : 10.1090/S0002-9904-1978-14533-9 .
  10. ^ Ямада, Акихико (2004). «История исследований теории переключения в Японии» . Транзакции IEEJ по основам и материалам . 124 (8). Институт инженеров-электриков Японии : 720–726. Бибкод : 2004IJTFM.124..720Y . дои : 10.1541/ieejfms.124.720 .
  11. ^ «Теория коммутации/Теория релейных сетей/Теория логической математики» . Компьютерный музей IPSJ . Общество обработки информации Японии .
  12. ^ Станкович, Радомир С.; Астола, Яакко Т.; Карповский, Марк Г. (2007). Некоторые исторические замечания по теории переключения . CiteSeerX   10.1.1.66.1248 .
  13. ^ Перейти обратно: а б Станкович, Радомир С. [на немецком языке] ; Астола, Яакко Тапио [на финском языке] , ред. (2008). Перепечатки из первых дней информационных наук: серия TICSP о вкладе Акиры Накашимы в теорию переключения (PDF) . Серия Международного центра обработки сигналов Тампере (TICSP). Том. 40. Технологический университет Тампере , Тампере, Финляндия. ISBN  978-952-15-1980-2 . ISSN   1456-2774 . Архивировано из оригинала (PDF) 8 марта 2021 г. {{cite book}}: CS1 maint: отсутствует местоположение издателя ( ссылка ) (3+207+1 страницы) 10:00 мин.
  14. ^ Лоек, Бо (2007). История полупроводниковой техники . Спрингер. стр. 321–323 . ISBN  978-3-54034258-8 .
  15. ^ «1963: Изобретена дополнительная конфигурация МОП-схемы» . Музей истории компьютеров . Проверено 6 июля 2019 г.
  16. ^ «Графические символы для логических схем» . ASSIST Быстрый поиск . Агентство оборонной логистики . МИЛ-СТД-806 . Проверено 27 августа 2021 г.
  17. ^ Перейти обратно: а б «Обзор стандарта IEEE 91-1984. Объяснение логических символов» (PDF) . Группа полупроводников Texas Instruments. 1996. SDYZ001A.
  18. ^ Пирс, CS (рукопись зимой 1880–1881 гг.), «Булова алгебра с одной константой», опубликованная в 1933 г. в Сборнике статей , т. 4, абзацы 12–20. Перепечатано в 1989 г. в «Сочинениях Чарльза С. Пирса», т. 4, стр. 218–221, Google [1] . Видеть Робертс, Дон Д. (2009). «7.12 Графический анализ предложений» . Экзистенциальные графики Чарльза С. Пирса . Де Грюйтер . п. 131. ИСБН  978-3-11022622-5 .
  19. ^ Бюнинг, Ганс Кляйне; Леттманн, Теодор (1999). Пропозициональная логика: дедукция и алгоритмы . Издательство Кембриджского университета . п. 2. ISBN  978-0-521-63017-7 .
  20. ^ Берд, Джон (2007). Инженерная математика . Ньюнес . п. 532. ИСБН  978-0-7506-8555-9 .
  21. ^ Роу, Джим. «Схемная логика - почему и как». № Декабрь 1966 г. Electronics Australia.
  22. ^ «Применение энергонезависимой логики» . 21 апреля 2021 г.
  23. ^ Меркл, Ральф К. (1993). «Два типа механической обратимой логики» . Ксерокс ПАРК .
  24. ^ Эрбас-Чакмак, Сундус; Колемен, Сафакан; Седжвик, Адам С.; Гуннлаугссон, Торфиннур; Джеймс, Тони Д.; Юн, Джуён; Аккая, Энгин У. (2018). «Молекулярные логические вентили: прошлое, настоящее и будущее» . Обзоры химического общества . 47 (7): 2228–2248. дои : 10.1039/C7CS00491E . hdl : 11693/50034 . ISSN   0306-0012 . ПМИД   29493684 .
  25. ^ Стоянович, Милан Н.; Митчелл, Тиффани Э.; Стефанович, Дарко (2002). «Логические ворота на основе дезоксирибозима» . Журнал Американского химического общества . 124 (14): 3555–3561. дои : 10.1021/ja016756v . ПМИД   11929243 .

Дальнейшее чтение [ править ]

Внешние ссылки [ править ]

Arc.Ask3.Ru: конец оригинального документа.
Arc.Ask3.Ru
Номер скриншота №: DB4586D99EAEAC6563B91CADFE8948F3__1716819600
URL1:https://en.wikipedia.org/wiki/Logic_gate
Заголовок, (Title) документа по адресу, URL1:
Logic gate - Wikipedia
Данный printscreen веб страницы (снимок веб страницы, скриншот веб страницы), визуально-программная копия документа расположенного по адресу URL1 и сохраненная в файл, имеет: квалифицированную, усовершенствованную (подтверждены: метки времени, валидность сертификата), открепленную ЭЦП (приложена к данному файлу), что может быть использовано для подтверждения содержания и факта существования документа в этот момент времени. Права на данный скриншот принадлежат администрации Ask3.ru, использование в качестве доказательства только с письменного разрешения правообладателя скриншота. Администрация Ask3.ru не несет ответственности за информацию размещенную на данном скриншоте. Права на прочие зарегистрированные элементы любого права, изображенные на снимках принадлежат их владельцам. Качество перевода предоставляется как есть, любые претензии не могут быть предъявлены. Если вы не согласны с любым пунктом перечисленным выше, немедленно покиньте данный сайт. В случае нарушения любого пункта перечисленного выше, штраф 55! (Пятьдесят пять факториал, денежную единицу можете выбрать самостоятельно, выплаичвается товарами в течение 7 дней с момента нарушения.)